Mentor Graphicsは、同時設計および自動カスタムICルーティング技術を進化させることで新たな機能性と生産性の向上を実現するカスタムIC設計プラットフォーム「Pyxis」を発表した。

同プラットフォームは、現行のカスタムIC設計および検証ツールの深刻な欠点に対処しすることを目指し、機能改良を図ったもので、主に以下の4つの主要機能により、カスタムIC設計の結果品質と生産性の向上を実現した

  1. 同時設計:同じネットワーク内にいる複数の設計者が同じデータベース上で同時に作業し、最終チップ設計とデザインルール・チェック(DRC)修正を加速化させることが可能
  2. Pyxisカスタム・ルータ:トランジスタ、セル、ブロック、チップのレベルで、アナログ/ミクスドシグナルの自動配線を行い、混雑軽減グローバル・ルーティングと、ネット単位の制約アプリケーション向け詳細ルーティングの両方の設計、および、先進プロセス技術対応の2.5D相互接続寄生抽出付きの密接結合にまたがる階層制約伝搬に対処
  3. Calibre RealTimeインタフェース:編集中に動的DRCを可能にする標準Calibreデック搭載のサインオフ品質DRC
  4. 業界標準対応:OpenAccessによる設計データ交換に対応

カスタムIC設計プラットフォーム「Pyxis」のMentorのほかの製品との兼ね合いと、各ツールの概要

同社では同プラットフォームを用いることで、通常ルーティングに1週間かかるIPセクションが数分で終了し、レイアウトのスペースも3分の1にできるようになったとしている。

なお、同プラットフォームは、アナログ/ミクスドシグナル(AMS)/カスタム・デジタル設計向けに調整されており、使用の際に大がかりなカスタマイズを必要としない。また、同社のAMSシミュレータ/検証ソリューション製品である「Eldo Classic」、「Eldo Premier」、「Questa ADMS」、「Calibre物理検証」およびDFMプラットフォームを含む各種ツールとも連携しており、機能としては、設計データ管理、デザインキャプチャ、シミュレーション・コントロール、結果表示、フロアプラン付きレイアウト・エディタ、同時編集、カスタム・ルータが含まれている。