「本日、11月11日はバイナリデーです」――スラッシュドット・ジャパンは11月11日、このようなコメントを掲載するとともに、日付に「1」が4つ並ぶ"バイナリデー"にちなんだ企画を掲載している。

同サイトには、「Happy Binary Day!」と題した投稿が11月11日の0時10分に登場。以下のようなメッセージが掲載され、バイナリーデーにちなんだイースターエッグを仕込んだことが告知されている。

…略… そこで、このバイナリデーを祝うべく、/.Jにもちょっとしたイースターエッグを仕込んでおきました。/.Jのとある場所に、日本のバイナリ/ハッカー界の著名人の方々から頂戴した祝賀コメントを掲載しています。/.J読者皆様、ぜひお探しの上一緒にバイナリデーをお祝いいただけるとうれしいです。

イースターエッグを発見すると、バイナリ界の著名人たちからの祝賀コメントページへ遷移する。そこでは、ひげぽん氏(Free OS「MonaOS」開発者)、g新部裕氏(FSIJ)、shinichiro.h氏、高田浩和氏(ルネサステクノロジ)、鴨志田良和氏(東京大学情報基盤センター)、和田英一氏(東京大学名誉教授)らが、さまざまな形態のコメントを寄せている。ちょっとした解読作業を要するものや、クイズ形式のものも用意されているので、バイナリの世界に興味を持つ方であれば十分に楽しめるはずだ。

なお、関係者によると、同企画の公開日時である「11月11日の0時10分」にもちょっとした意味があるとか。時間、日付の順に数字を並べ、文字コードと照らし合わせると……。

祝賀ムードを楽しめるページになっているので、休憩時間などにのぞいてみるとよいだろう。Happy Binary Day!