Mentor GraphicsとGLOBALFOUNDRIES(GF)は6月3日、Mentorの「Olympus-SoC Netlist-to-GDSプラットフォーム」に対応した20nmデザインキットを発表した。同デザインキットにより、性能、消費電力、エリアを最適化した設計を従来より短期間で収束できるようになるという。

Olympus-SoC配置配線システムは、GFの20nmに対応した新しいDRC(デザインルールチェック)、ダブルパターニング、DFM(製造性考慮設計)ルールを含む包括的なNetlist-to-GDSフローを提供している。ルータには、ダブルパターニングのルールに違反した箇所を検出して自動的に修正する検証/競合解決機能と独自の色分け配線エンジンが備わっており、ダブルパターニングに対応したパターンマッチング機能と配置機能、色分けを考慮したピンアクセス機能、クリティカルネットを事前に色分けする機能といった拡張機能も用意されている。

そのため、Olympus-SoCにCalibre InRouteを併用すると、設計段階でCalibreのサインオフエンジンをOlympus-SoCから直接起動できるため、より迅速で効率的な製造への移行が可能になるという。